首页> 外文OA文献 >Thread Progress Equalization: Dynamically Adaptive Power and Performance Optimization of Multi-threaded Applications
【2h】

Thread Progress Equalization: Dynamically Adaptive Power and Performance Optimization of Multi-threaded Applications

机译:线程进度均衡:动态自适应功率和性能   多线程应用程序的优化

代理获取
本网站仅为用户提供外文OA文献查询和代理获取服务,本网站没有原文。下单后我们将采用程序或人工为您竭诚获取高质量的原文,但由于OA文献来源多样且变更频繁,仍可能出现获取不到、文献不完整或与标题不符等情况,如果获取不到我们将提供退款服务。请知悉。

摘要

Dynamically adaptive multi-core architectures have been proposed as aneffective solution to optimize performance for peak power constrainedprocessors. In processors, the micro-architectural parameters orvoltage/frequency of each core to be changed at run-time, thus providing arange of power/performance operating points for each core. In this paper, wepropose Thread Progress Equalization (TPEq), a run-time mechanism for powerconstrained performance maximization of multithreaded applications running ondynamically adaptive multicore processors. Compared to existing approaches,TPEq (i) identifies and addresses two primary sources of inter-threadheterogeneity in multithreaded applications, (ii) determines the optimal coreconfigurations in polynomial time with respect to the number of cores andconfigurations, and (iii) requires no modifications in the user-level sourcecode. Our experimental evaluations demonstrate that TPEq outperformsstate-of-the-art run-time power/performance optimization techniques proposed inliterature for dynamically adaptive multicores by up to 23%.
机译:已经提出了动态自适应多核体系结构作为优化峰值功率受限处理器性能的有效解决方案。在处理器中,每个内核的微体系结构参数或电压/频率将在运行时更改,从而为每个内核提供一系列功率/性能工作点。在本文中,我们提出了线程进度均衡(TPEq),一种运行时机制,用于在动态自适应多核处理器上运行的多线程应用程序的功率受限性能最大化。与现有方法相比,TPEq(i)识别并解决了多线程应用程序中线程间异构性的两个主要来源,(ii)确定了多项式时间内关于内核和配置数量的最佳内核配置,并且(iii)不需要修改用户级别的源代码。我们的实验评估表明,TPEq的动态适应性多核性能要优于最新提出的运行时功率/性能优化技术,后者建议的性能要高出23%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号